logo

Crowdly

ППМП. ПЛІС

Looking for ППМП. ПЛІС test answers and solutions? Browse our comprehensive collection of verified answers for ППМП. ПЛІС at dl.nure.ua.

Get instant access to accurate answers and detailed explanations for your course questions. Our community-driven platform helps students succeed!

Опис

роботи тригера можна представити

0%
100%
0%
View this question

Як зміниться

сформований синусоідальний сигнал (лаб. робота 5) якщо у процесі, який

забезпечує вибір номера елемента масиву значення 1 змінити на 2?

arrayIndex – номер елемента масива.

0%
100%
0%
View this question

Які з

представлених пар записів сигналів не є еквівалентними у

VHDL?

100%
0%
0%
100%
View this question

Представленому фрагменту програми для

формування періодичних імпульсів

 

 відповідає сигнал, показаний на графіку:

Якому графіку буде відповідати сформований сигнал,

якщо значення 2000 збільшити до 4000?

0%
0%
0%
100%
View this question

В якому стані може бути процес?

100%
0%
100%
100%
0%
View this question

Надано частину VHDL програми:

 

signal a,b: std_logic_vector(1 downto 0);

signal c: std_logic_vector(3 downto 0);

 c <= a & b;

 

Чому дорівнюватиме С, якщо а=01, b=10?

0%
0%
0%
100%
View this question

Надано частину VHDL коду

, що описує роботу

тригера:

 

process (clock)

begin

if clock='1' then

if data'last_event<='x'; else

q<=data after delay;

end if;

end if;

end process;

 

У якому випадку виконається процес?

0%
100%
0%
0%
View this question

Як зміниться

частота сформованого синусоідального сигналу (лаб. робота 5), якщо у процесі,

який забезпечує вибір номера елемента масиву значення 1 змінити на 2?

arrayIndex – номер елемента масива.

0%
100%
0%
View this question

Який

запис є вірним при програмному зверненні до елементів масиву, якщо

count1

є

змінна номеру елементу масиву

?

0%
0%
0%
100%
View this question

Надано частину VHDL коду

, що описує роботу

тригера:

 

process (clock, data)

begin

if clock='1' then

q<=data after delay;

end if;

end process;

 

При зміні якого сигналу зі списку чутливості

виконаються інструкціїї у тілі процесу?

0%
100%
0%
0%
View this question

Want instant access to all verified answers on dl.nure.ua?

Get Unlimited Answers To Exam Questions - Install Crowdly Extension Now!